Could I help you?

IEC 62530 Ed. 2.0 en:2011

IEC 62530 Ed. 2.0 en:2011 SystemVerilog - Unified Hardware Design, Specification, and Verification Language

standard by International Electrotechnical Commission, 05/19/2011

More details

Reduced price!
M00007296
New product
Limited time offer:

$184.50

$410.00

-55%

In stock

- +

Add to compare

 
More info

Full Description

IEC 62530:2011(E) Provides a unified Hardware Design, Specification, and Verification language. IEEE Std 1364TM-2005 Verilog is a design language. Both standards were approved by the IEEE-SASB in November 2005. This standard creates new revisions of the IEEE 1364 Verilog and IEEE 1800 SystemVerilog standards, which include errata fixes and resolutions, enhancements, enhanced assertion language, merger of Verilog Language Reference Manual (LRM) and SystemVerilog 1800 LRM into a single LRM, integration with Verilog-AMS, and ensures interoperability with other languages such as SystemC and VHDL. This publication has the status of a double logo IEEE/IEC standard.

 
Custom tab

This is a custom block edited from admin panel.You can insert any content here.

30 other products in the same category:

Compare 0

No products

To be determined Shipping
$0.00 Total

Check out